go top

网络释义专业释义

  [计] logic variable

1.逻辑变量logic variable) 逻辑代数中的变量称为逻辑变量逻辑变量用字符或字符串表示,一个逻辑变量只有两种可能的取值:0、1,这两个取值称为逻辑值,通...

基于272个网页-相关网页

  [计] logical variable

0-1变量作为逻辑变量logical variable),常用来表示系统是否处于某个特定状态,或者决策时是否取某个特定方案。例如

基于234个网页-相关网页

  Boolean

... 数值Number 逻辑变量Boolean 对象Object ...

基于20个网页-相关网页

  switching variable

... switching value 开关量; 开断值 switching variable 逻辑变量; 开关变量 switching-over 换向 ...

基于18个网页-相关网页

短语

固有逻辑变量 [计] internal logic variable

输入逻辑变量 [计] input logic variable

逻辑变量引用 [计] logical variable reference

逻辑变量方法 the method of logic variable

逻辑控制变量 logic control variable

 更多收起网络短语
  • logic variable - 引用次数:1

    参考来源 - 电站仿真机逻辑保护及控制系统软件的开发
    switching variable
  • logical variable
  • logical variable

·2,447,543篇论文数据,部分数据来源于NoteExpress

新汉英大辞典

逻辑变量

  • logic variable
以上来源于:《新汉英大辞典》

双语例句

  • 布尔量逻辑变量占用一个

    Boolean or logical variables can be contained in one word.

    youdao

  • 布尔量或逻辑变量占用一个字。

    A logic element that performs the Boolean operation of disjunction.

    youdao

  • 化工开停车过程既有连续动态变量离散逻辑变量复杂动态变化系统,混杂现象普遍存在于流程生产工业中,目前对进行的研究刚刚起步。

    Start-up process is a kind of complicated dynamic variation system, which exists not only continuous dynamic variables but also gone with discrete logic variables.

    youdao

更多双语例句

百科

逻辑变量

逻辑变量(logical variable)是指只有真值或假值的变量,即取值只能是“1”或“0”的变量。它是逻辑代数的研究对象,逻辑代数在研究某个命题的真假时用“1”和“0”表示的,将“1”和“0”作为变量,研究其变化规律,这种变量称逻辑变量。

详细内容

以上来源于: 百度百科
$firstVoiceSent
- 来自原声例句
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定