go top

差分输出时钟缓冲器

网络释义

  OBUFDS

在FPGA 内,需对差分输入时钟缓冲器(IBUFDS)、差分输入的全局时钟缓冲器(IBUFGDS)和差分输出时钟缓冲器(OBUFDS) 例化。

基于44个网页-相关网页

有道翻译

差分输出时钟缓冲器

Differential output clock buffer

以上为机器翻译结果,长、整句建议使用 人工翻译

$firstVoiceSent
- 来自原声例句
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定