• Digital system of the urban environmental geology.

    城市环境地质图编制。

    youdao

  • In this paper we introduce the representation of digital system.

    是一种实用、有效的数字系统设计方法。

    youdao

  • Magnitude comparator is one of the elements used in digital system.

    数字比较器数字系统基本单元之一。

    youdao

  • The problem of timing errors in high speed digital system is discussed.

    讨论了高速数字系统中的定时误差问题

    youdao

  • In the design of digital system, improving speed of the system is one difficulty.

    数字系统设计中,提高系统运行速度设计的一个难点

    youdao

  • Introducted a kind of network and digital system of furnace water temperature difference.

    介绍网络化数字式高炉温差检测系统

    youdao

  • The high speed digital testing module is mostly used in test of digital circuit or digital system.

    高速数字测试模块主要用于数字板卡数字系统测试

    youdao

  • As a result, the analog demodulation system in satellite must be taken place by the digital system.

    验证上解系统模拟走向数字的必然性。

    youdao

  • The transmission characteristics of microwave digital system is analyzed as Viewed from evaluation.

    本文估量角度入手,分析数字微波传输特性

    youdao

  • The main function are computer unit experiment, on-line experiment and small digital system experiment.

    装置进行计算机部件实验整机实验以及小型数字系统调试等实验。

    youdao

  • This paper deals design method of digital system of top-down, VHDL and applications of in technology ASIC.

    本文论述了数字系统顶向下设计方法VHDL及其ASIC技术中的应用

    youdao

  • VHDL is considered as a core of digital system design and a key technique of implement digital systems design.

    硬件描述语言(VHDL)是数字系统高层设计核心,是实现数字系统设计新方法关键技术之一。

    youdao

  • Microprogramming is a design method applicable to the controller of digital system which has more input variables.

    程序设计数字系统控制器输入变量多时适用一种设计方案

    youdao

  • This system is high reliability and fast of running compared with the digital system whose core is microprocessor.

    微处理器核心数字系统相比,可靠性运行速度快

    youdao

  • Especially, the paper discusses IP reuse technology which commonly used in modern digital system design in detail.

    文章现代数字系统设计中的IP复用方法进行了详细的介绍。

    youdao

  • This CAD system is centered around the database and oriented to the entire designing process of the digital system.

    每个子系统都用于完成数字系统设计过程中的一项特定设计任务

    youdao

  • Where time and curriculum constraints allow, it is expected that two courses in digital system design should be taught.

    时间课程条件许可的情况下,希望数字系统设计开设门课

    youdao

  • With the rapid development of digital devices, the advantages of the digital system are becoming more and more attractive.

    随着数字器件飞速发展,数字系统优势越来越突出。

    youdao

  • This paper describes the closed-loop expressions of the minimum energy control for the single-input linear digital system.

    用两种不同方法推导出输入线性数字系统最小能量控制闭环表达式

    youdao

  • The main task is translating the behavioral description of a digital system into the design of RTL(Register Transfer Level).

    高层次综合也叫行为综合,基本任务完成数字系统行为描述寄存器传输级(RTL)描述转换

    youdao

  • VHDL, however, can make effective description of the digital system and enable logical synthesis to produce high design density.

    VHDL语言方便地进行数字系统描述而且能使逻辑综合产生更设计密度

    youdao

  • By use of digital system it can improve the testing accuracy and efficiency, so it provides bases for the laser process research.

    利用数字化系统可以有效提高测试准确度工作效率激光加工的研究奠定了基础。

    youdao

  • Using this technique, digital weight and digital system error modification for noise octave analyzer can be realized by software.

    利用一方法可以以软件方式完成噪声程分析的数字计处理,并且能够实现系统误差修正

    youdao

  • Logic Analyzer is used for testing the digital information in the digital system, whose structure is complex and the cost is higher.

    逻辑分析仪测试对象数字系统中的数字信息结构复杂成本较高

    youdao

  • The function of this preprocessor is to support parameterized design in array of input and output ports of a module for a digital system.

    编译处理器功能增强数字系统设计中对模块输入输出端口阵列参数化设计的能力。

    youdao

  • The design idea of SCI interface circuit is discussed in details, and the design method of digital system use VHDL on EPLD is introduced.

    该文详细阐述SCI接口电路设计思想介绍了如何以VHDL为描述工具利用EPLD器件设计数字系统方法

    youdao

  • Because of using digital weight and digital system error modification, precision and stability of the instrument can be improved greatly.

    由于采用数字计权系统修正技术,大大提高仪器精度稳定性

    youdao

  • What that means is we had to tie in a legacy circulation management system, as well as a digital system, as well as our legacy customer systems.

    表明我们必须传统流通管理系统绑定同时还有数字系统我们的传统客户系统。

    youdao

  • The influence of the technology of electronic design automation (EDA) on the designing ideology and conception of digital system is expounded.

    阐述了电子设计自动化(EDA)技术出现对数字系统设计思想设计理念影响

    youdao

  • The influence of the technology of electronic design automation (EDA) on the designing ideology and conception of digital system is expounded.

    阐述了电子设计自动化(EDA)技术出现对数字系统设计思想设计理念影响

    youdao

$firstVoiceSent
- 来自原声例句
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定