• 文章还介绍了该双边沿触发器时序电路中的应用

    The application of this type of double-edge-triggered flip-flop in seq

    youdao

  • 模拟结果表明所设计触发器具有正确逻辑功能传统的时钟低摆幅双边沿触发器相比降低近17%的功耗

    The results of simulation suggest that the designed FK-LSCDFF has correct logic function, and reduces 17% powedissipation compared with conventional low-swing clock double-edge-triggered flip-flop.

    youdao

  • 传统触发器结构基础,本文提出了单锁结构边沿触发器设计,它通过利用时钟信号的竞争冒险产生脉冲控制单一锁存器以实现触发器次状态转换功能。

    Based on the construction of traditional flip-flop, we propose a novel edge-triggered flip-flip using one latch controlled by narrow pulse according to race-hazard of clock.

    youdao

  • 消除时钟冗余提高时钟利用率以达到降低功耗思想出发,提出基于沿触发触发器逻辑设计

    To erase redundancy of the clock, improve clock utilization rate and reduce power dissipation, this paper proposes the logic design of low power flip-flop based on double edge trigger.

    youdao

  • 介绍了两种已有的主从边沿D触发器,它们具有很强抗单粒子翻转能力

    Two typical master-slave type D flip-flop of strong hardness to Single Event Upset(SEU) for radiation environment are introduced.

    youdao

  • 提出一种基于共振隧穿二极管新型边沿触发d触发器将之用于构成二进制频器。

    A novel edge-triggered D-flip-flop based on a resonant tunneling diode (RTD) is proposed and used to construct a binary frequency divider.

    youdao

  • 作为常规ECL门的补充类型,常用于简化一般ECL电路结构例如ECL双边沿D触发器

    The ECL OR-AND-gate can simplify a generalized ECL circuits structures, for example, an ECL double-edge-triggered D flip-flop.

    youdao

  • 作为常规ECL门的补充类型,常用于简化一般ECL电路结构例如ECL双边沿D触发器

    The ECL OR-AND-gate can simplify a generalized ECL circuits structures, for example, an ECL double-edge-triggered D flip-flop.

    youdao

$firstVoiceSent
- 来自原声例句
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定