• 介绍一种高精度数字电压表设计方案。

    The design of high precision Digital Volt Meter was introduced.

    youdao

  • 数字电压表电流表——易于精确读数。

    Digital Voltage and Amperage Meters - Easy to read and accurate.

    youdao

  • 本文参考大量数字电压表设计基础上。

    In this paper, a large number of digital voltage reference design based on the table.

    youdao

  • 数字电压表模块

    Digital voltmeter module;

    youdao

  • 激励电压输出通过选择开关接到数字电压表

    And the excitation voltage and output were also fed through the selector switch to the digital voltmeter.

    youdao

  • 数字电压表设计与总结详细描述直流电压测试显示

    This design detailed described the direct current electric voltage of the test and the manifestation.

    youdao

  • 它配合动电容静电计数字电压表进行测量,其稳定性0.1%。

    Using with a vibrating capacitor electrometer and a digital voltmeter, the stability is better than 0.1%.

    youdao

  • 输出信号可用数字电压表,信号调节器动态应变仪接示波器记录

    Its output signal can be displayed by digital voltmeter, signal conditioner or dynamic strain amplifier with recorder.

    youdao

  • 分析数字电压表数字示波器惯性测量装置(IMU)模拟输出的测量数据。

    The analog signal of inertia measurement unit (IMU) is analyzed through digital voltmeter and digital oscilloscope.

    youdao

  • 详细介绍直流数字电压表校准电阻原理数学模型测量不确定评定方法

    This paper introduce in detail the principle of the calibration of resistance box by method of direct current digital voltage meter, mathematics model and the way of uncertainty evaluation.

    youdao

  • 一个062- AD 0832数字电压表1602液晶适合初学者工程开发

    This is a 062 - AD0832 digital voltmeter 1602 LCD, for beginners and project development.

    youdao

  • 测量仪器可用适当精度通用数字电压表标准动态应变产生尚未很好解决问题

    Measuring apparatus can use general digital voltmeter with appropriate accuracy, but generating standard dynamic strain signal is a problem which hasn't been well solved.

    youdao

  • 毕业设计核心任务采用FPGA制作一个基于VHDL语言编写的数字电压表

    I graduated from the core task is to design: FPGA to create a VHDL-based language of the digital voltmeter.

    youdao

  • 本人结业设计中心使命采用FPGA制造一个基于VHDL言语编写数字电压表

    My graduation project core duty is: Uses FPGA to manufacture one based on the VHDL language compilation digital voltmeter.

    youdao

  • 介绍使用具有IEEE—488接口数字电压表构成高精度室温自动检测系统设计方案

    This paper introduces the design scheme of a highly accurate measurement system of temperature automation using a digital voltmeter with the IEEE-488 interface.

    youdao

  • 介绍智能化数字电压表特点工作方式结合具体工作阐述了智能化数字表在电工测量中的应用

    It introduces the features and working mode of intelligent numerical voltmeters, and describes its application combined with specific work.

    youdao

  • 通过FPGA芯片进行VHDL语言编程EDA实验下载、调试实现了数字电压表功能

    By programming the FPGA with VHDL and debugging on the EDA experimental box, basic function of the digital voltmeter is realized.

    youdao

  • Plessey将EPIC电位集成电路描述成一个本质上非常敏感非接触式数字电压表,它可以测量电场中的毫伏变化

    Plessey describes EPIC as essentially a very sensitive, contactless digital voltmeter capable of measuring millivolt changes in electric fields.

    youdao

  • 介绍了选用双积分型A/D转换器5G14433芯片核心设计组装一个3(1/2)位数字电压表实现过程

    The paper introduces a process of 3 1/2 bite digital voltage using double-integral style A/D transform 5G14433chip as the core.

    youdao

  • 本文向读者简要介绍如何将常见4(1/2)数字电压表作为A/D转换装置显示器用于微机控制系统的方法。

    The paper shows the trick of using the 41/2 Digit Panel Meter as an A/D converter and monitor in a microcomputer control system.

    youdao

  • 系统数字电压表电路简单可以测量0 ~5V电压值四位LED数码轮流显示选择显示

    The system's digital voltmeter circuit is simple, can measure the voltage 0 ~ 5v, and the four turns on the LED digital display or a single select Show.

    youdao

  • 介绍HP-VEE软件现有设备实现数字电压表自动化测试提高测量速度减少了由于人员因素造成的误差

    This paper introduces achievement of an automatic test of he digital voltmeter with HP-VEE software and available equipment to increase the measuring speed, decrease the personal error.

    youdao

  • 给出了一种利用霍尔效应测量螺线管磁场的新方法:数字电压表取代电位差诸多仪器测量霍尔电势差,进而计算出通电长螺线管轴向磁场的分布

    Digital voltmeters, instead of voltage meters and other instruments, are used to measure Hull voltage and then to calculate the axial magnetic induction distribution in charged straight spiral tubes.

    youdao

  • 数字电压表通常10兆欧输入阻抗测量阻抗电路时﹐可能会有影响

    DVM usually got an input impedance of 10 Mohm. There may be loading effect when measuring high impedance circuit.

    youdao

  • 本文主要介绍CS7106GP性能特点功能,及其数字直流电压表中的应用

    This Article Mainly Introduced the Performance, Speciality and Function of CS7106GP, and the Application of the IC in the Digital Direct Current Voltage Meter.

    youdao

  • 数字电压表测量低于20欧姆电阻时﹐会因为引脚的电阻使测量准确除非一个线欧姆表。

    DVM is not accurate in measuring resistance below 20 ohm due to the lead resistance, unless it is a 4 wired ohm meter.

    youdao

  • 数字电压表测量低于20欧姆电阻时﹐会因为引脚的电阻使测量准确除非一个线欧姆表。

    DVM is not accurate in measuring resistance below 20 ohm due to the lead resistance, unless it is a 4 wired ohm meter.

    youdao

$firstVoiceSent
- 来自原声例句
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定