go top

元件例化语句

网络释义

  COMPONENT INSTANTIATION

...) 3、块语句(Block Statements) 4、条件信号赋值语句(Selected Signal Assignments) 5、元件例化语句(Component Instantiations),其中包括类 属配臵语句。

基于152个网页-相关网页

  componentItantiation

... 并行信号赋值语句(concurrentSignalAssignment) 元件例化语句componentItantiation) 天生语句(GenerateStatement) ...

基于40个网页-相关网页

  component instantiation statement

...语句(process statement) ·并行信号赋值语句(concurrent signal assignment) ·元件例化语句(component instantiation statement) ·块语句(block statement) ·并行断言语句(concurrent assertion statement) ·并行过程调用语句(concurrent procedure call stat...

基于28个网页-相关网页

  COMPONENT INSTANT

...; 表达式2 WHEN 赋值条件2; VHDL并行基本描述语句 端口映射语句 端口映射语句PORT MAP也称 元件例化语句COMPONENT INSTANT )。组件例化是为了定义 一个设计层次或者建立一个VHDL网表。

基于12个网页-相关网页

有道翻译

元件例化语句

Component instantiation statement

以上为机器翻译结果,长、整句建议使用 人工翻译

$firstVoiceSent
- 来自原声例句
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定