go top

nearest neighbour interpolation

网络释义

  最邻近插值

常见的插值方法是最邻近插值(Nearest Neighbour Interpolation), 其优点是 速度快,但会导致图象出现马赛克和锯齿等现象,使图象明显走样.

基于12个网页-相关网页

  最近邻插值

图2.2 二维图像灰度插值 2.2.1 最近邻插值 最近邻插值Nearest Neighbour Interpolation),就是用四个相邻格点中与 ) , ( v u ) , 1 ( v u + ) , ( 0 0 v u ) 1 , ( + v u ) 1 , 1 ( + + v u 10 ) , ( 0 0 v u 点最近的...

基于6个网页-相关网页

有道翻译

nearest neighbour interpolation

最近邻插值

以上为机器翻译结果,长、整句建议使用 人工翻译

$firstVoiceSent
- 来自原声例句
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定