go top

instruction set extension fabric

网络释义

  指令集扩充结构

实做计画Ⅱ:自动加速[转] - 一室琴声 - EDN China电子设计技术 n target)。Stretch S5000 处理器整合了一个 Tensilica Xtensa 处理器核心和一个片上指令集扩充结构(instruction-set extension fabric,ISEF)。Stretch 的软体可配置 ISEF 资料路径以专有的可编程逻辑为基础,设计者可以利用它来扩充处

基于4个网页-相关网页

  结构

他们的做法是将一个被称为“指令级扩展结构Instruction Set Extension Fabric, ISEF)”的软件可配置数据路径加入到微处理器内部,而ISEF实际上是一种类似于FPGA的可编程逻辑模块,通过...

基于2个网页-相关网页

  指令级扩展结构

他们的做法是将一个被称为“指令级扩展结构Instruction Set Extension Fabric, ISEF)”的软件可配置数据路径加入到微处理器内部,而ISEF实际上是一种类似于FPGA的可编程逻辑模块,通过...

基于1个网页-相关网页

有道翻译

instruction set extension fabric

指令集延伸结构

以上为机器翻译结果,长、整句建议使用 人工翻译

$firstVoiceSent
- 来自原声例句
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定