• 介绍一种高精度数字电压表设计方案。

    The design of high precision Digital Volt Meter was introduced.

    youdao

  • 本文参考大量数字电压表设计基础上。

    In this paper, a large number of digital voltage reference design based on the table.

    youdao

  • 毕业设计核心任务采用FPGA制作一个基于VHDL语言编写的数字电压表

    I graduated from the core task is to design: FPGA to create a VHDL-based language of the digital voltmeter.

    youdao

  • 本人结业设计中心使命采用FPGA制造一个基于VHDL言语编写数字电压表

    My graduation project core duty is: Uses FPGA to manufacture one based on the VHDL language compilation digital voltmeter.

    youdao

  • 数字电压表设计与总结详细描述直流电压测试显示

    This design detailed described the direct current electric voltage of the test and the manifestation.

    youdao

  • 介绍了选用双积分型A/D转换器5G14433芯片核心设计组装一个3(1/2)位数字电压表实现过程

    The paper introduces a process of 3 1/2 bite digital voltage using double-integral style A/D transform 5G14433chip as the core.

    youdao

  • 介绍使用具有IEEE—488接口数字电压表构成高精度室温自动检测系统设计方案

    This paper introduces the design scheme of a highly accurate measurement system of temperature automation using a digital voltmeter with the IEEE-488 interface.

    youdao

  • 介绍使用具有IEEE—488接口数字电压表构成高精度室温自动检测系统设计方案

    This paper introduces the design scheme of a highly accurate measurement system of temperature automation using a digital voltmeter with the IEEE-488 interface.

    youdao

$firstVoiceSent
- 来自原声例句
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定