• 原则上菩提心只要够了

    In principle, with Bodhicitta just one sheet is enough.

    youdao

  • 肾功能衰竭(糖尿病导致一种结果)就是催命,而乌干达透析机只有台。

    Kidney failure (a result of diabetes) is a death warrant. Uganda has only seven dialysis machines.

    youdao

  • 录制输入文本时,碰到个分行会建立新的幻灯片

    When you record text being typed, a new slide is created for every line break.

    youdao

  • 然后,他们让我看看他们佛像,他们就拿出佛牌护身

    Then I asked to see the Buddha images they'd bought. They fetched the medalions and amulets for me to see.

    youdao

  • 老人开始平安,一一千元。

    The single eyed old man begin to draw the juju, it cost 1,000 each.

    youdao

  • 老人开始平安,一一千元。

    The single eyed old man begin to draw the juju, it cost 1,000 each.

    youdao

$firstVoiceSent
- 来自原声例句
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定
小调查
请问您想要如何调整此模块?

感谢您的反馈,我们会尽快进行适当修改!
进来说说原因吧 确定